• ベストアンサー
※ ChatGPTを利用し、要約された質問です(原文:Quartus 2 programmer 使い方)

Quartus 2 programmerの使い方

このQ&Aのポイント
  • Quartus IIのタイミングシミュレーションについて質問させていただいたが、今度はQuartus 2 programmerの使い方についての質問です。
  • 簡単なVHDLコードのコンパイルとピン配置はうまくできたが、プログラマーでCPLDをプログラムする際に問題が発生。
  • 詳しい方に解決方法を教えてほしい。システムの情報や評価ボードの写真も添付している。

質問者が選んだベストアンサー

  • ベストアンサー
  • P0O9I
  • ベストアンサー率32% (693/2146)
回答No.1

全く勘違い回答かもしれないけど、ほかに回答が無いようなので。 northmicro blasterを使ったことが無いので分からないけど、写真を見るとUSBでつないでいるようですが、USB Blasterじゃないのでしょうか? それが、USB接続でByteBlasterをエミュレーションしているのなら、私の勘違いということで、無視してください。

papashiroSooke
質問者

お礼

ご回答いただき、ありがとうございます。 おっしゃるとおり、Northmicro Blaster をUSBケーブルでPCにつないでいます。 しかし、ProgrammerのウィンドウでHardwareをセットアップしようとしてもUBS Blasterというオプションが出てこないのです。 Hardware Setup ダイアログボックスでAdd Hardwareボタンを押しても、選択できるのはこのByteBlaster(LPT1)と Ethernet Blasterの二つしかありません。 ひょっとしたら自分の評価ボードのチップが破損していて、認識されないのかもしれません。パソコンのマイコンピューターで見ても、USBに何かが接続されているようには表示されません。 私はカナダの大学で電子工学を学んでいますが、今学校は夏休みで先生に聞くことが出来ず、OKWaveで質問させていただきました。学校が始まったら自分のチップが壊れているかどうかも含めて先生に聞いてみます。

全文を見る
すると、全ての回答が全文表示されます。

関連するQ&A

  • Quartus II 回路図入力について

    TTLで組んだ回路をCPLDに移したいです。 ALTERA Quartus II で回路図入力ができることを知り、このソフトを使ってみたのですが、74系の石 で無い物があります。例えば、74574等です。全くの素人なので、verilog HDLやVHDLは分か りません。 ネット上のどこかに、74574などの石の情報が入っているファイルなどが公開されている のでしょか? 良い方法をご存知の方、教えていただけると幸いです。

  • std_logic_vector のピンアサイン

    cyclone ii ボード、Quartus ii 13.0sp1 です。 port ( a, b : in std_logic_vector (15 downto 0); としたとき (1)Pin Planner でピンアサインをしないで、コンパイルするとOKです。 (2)まずa[15]にFitter Location に表示されているピンを設定して、コンパイルするとOKです。    全てをFitter LocationのようにすればOKだと思うのですが、そうすると配線が整然としません。 そこで、自由に設定できるのかな(?)と思って どういうルールで設定するべきかもわからぬままに a[15]にいろいろなPIN_xを設定してみると (3)PIN_141ならばコンパイルはOKでした。 (4)PIN_1ならばコンパイルエラーでした。 std_logic_vector (15 downto 0) の場合どのようなルールでピンアサイン するのか教えてください。

  • Quartus 2のシミュレーションの仕方

    新しくダウンロードしたQuartus II Web Edition を使ってCPLDのプログラミングを始めました。 ブロックダイヤグラムで入力した簡単な論理回路の入力端子に、適当なパルスを与えて出力を見たいのですが、どうすればよいのでしょうか? 2年ほど前にダウンロードしたものには Vector Waveform という機能があって、任意の入力波形を与えることが出来た記憶がありますが、今回ダウンロードしたものは様子が違うので困っています。 ツールバーにある RTL Simulation とか Gate Level Simulation とかのアイコンを押すと、EDA Simulation tool を指定してないというメッセージボックスが出ます。そこでAssginment -> Setting -> Simulation とすすんでリストを見ると Active-HDL や Riviera-Pro といった名前が幾つか並んでますが、どれを選べば以前の Vector Waveform のようなことが出来るのかわかりません。 どなたか詳しい方がいましたら、教えてください。お願いします。

  • ModelSim-Alteraのインストール

    ModelSim-Alteraのインストールについて教えて下さい。 Quartus II 10.1sp1 Web Edition (32-Bit) で ModelSim-Altera 6.6c (Quartus II 10.1) Starter Edition を使いたいです。 コンパイルすると、以下のようなエラー表示が出ます。 Error: Can't launch the ModelSim-Altera software -- the path to the location of the executables for the ModelSim-Altera software were not specified or the executables were not found at specified path. Error: You can specify the path in the EDA Tool Options page of the Options dialog box or using the Tcl command set_user_option. Error: NativeLink simulation flow was NOT successful  ちなみに、ModelSim-Altera単体ではソフトは立ち上がります。ModelSim-Alteraのインストールに問題があるのでしょうか?どなたか教えていただけると幸いです。

  • FPGAを駆動させるためのボード設計

    さっそくですが, 研究室でFPGAを使ってCMOSセンサからの画像を出力させる回路を作れと言われました. FPGAチップだけが手元にある状態で, 一から回路を設計していかねばならない状態です. ネットで探してみたところ, 駆動させるには電源だったり,JTAGピン(?)だったり,抵抗,スイッチなどをボード上に 設計しないといけないことは分かったんですが, どうすればいいのかが全く分かりません. 研究室に市販のFPGAボードがあるんですが,参考にしようにも何がなんなのか全く分かりません. FPGAチップ以外にどんな部品が必要でどのように配置すればよいのでしょうか. なにか,これを参考にすればよいという,本とかサイトがあれば教えてください. あと, 図書館にあるディジタル・デザイン・テクノロジ 2010年 11月号にマイコン基板設計の常識というのが 載っていたのですが,これは参考になりますか?

  • FPGAで自動販売機が動作しません

    今、自分は大学3年生です。 研究で自動販売機の動作を教科書を参考にVHDLを使って設計してます。 教科書のソースに機能を足しコンパイルしたところ無事通り、シミュレーション上では動作はしました。しかし、実際にFPGAに載せて動かしたところ予想できない動作をしました。 教科書にあった手を加えていないソースもシミュレーションでは教科書に載っていたシミュレーションと同じ動作は確認できましたが、FPGAに載せた時の動作はおかしかったです。 動作環境は、ツール:QuartusII9.0, FPGAボード:EP1K10TC100-3です。 スイッチには、init,100円,コーラ120円の商品ボタン,キャンセルの4つを、出力はコーラの120円商品の出力だけをLEDにピンを割り当てました。 シミュレーションでの動作は確認できても、実機に載せたところ動作がおかしくなるのですが、動作の確認やできた論理回路の確認をするにはどのような方法がありますか? もしくは入出力の信号を全部ピンに割り当てないことにはシミュレートしたときの動作とはまた違ってくるのでしょうか? ご教授ください、お願いします。

  • [マイクロチップ PICkit3] メーカー Microchip ¥4

    [マイクロチップ PICkit3] メーカー Microchip ¥4,500 発売日 2010/04/16 の購入を検討しているのですが、 PICに書き込む接続部品がみつかりません。 [マイクロチップ PICkit3デバッグエクスプレス] というのもみつけましたが組み込み済みのPICっぽいのが乗っているだけにしかみえません。 販売店に聞いてもいいのですが、購入を決めていない段階で 聞くのは、迷惑だと思いましたのでこちら質問させてください。 (1)PICkit3でPICを書き込めるのでしたら、PICへの接続部品を教えてください  もし、接続するための部品を自作する必要があるのでしたら、  PICkit3本体と接続に必要な端子の形状とPICソケットへの配線関係を教えてください。 (2)できれば、純正品でPICにプログラムを書き込みたいのですが  はじめてなので、下記のAKI-PICプログラマーを選んだ方がいいのでしょうか? AKI-PICプログラマー Ver.4(完成ボード) +AKI-PICプログラマーVer.3キット用RS232Cケーブル&電源セット ¥5,200+¥800=¥6000 追記 機器の配線図を決めていないので、品番は現在検討中です。 第1候補として、PIC16F818-I/P 18ピン 第2候補として、PIC18F4550-I/P 40ピン を考えています。

  • PIC16F84AにAE-PICPGM USB1で書き込みをしたのです

    PIC16F84AにAE-PICPGM USB1で書き込みをしたのですが・・・ 先日、秋月電子通商でPIC書き込みボード「AE-PICPGM USB1」を購入し、PIC16F84Aに書き込みをしたのですが、ブレッドボード上で動作しません。 開発環境は以下のとおりです。 開発ソフト:MPLAB IDE ver.8.56 書き込みボード:AE-PICPGM USB1(秋月) プログラマ:PICkit2 programmer コンパイラ:HI-TECH C compiler ver.9.71a OS:windows 7 Home premium (64bit) MPLAB上ではコンパイルでき、hexファイルも生成されます。 プログラマ上でhexをインポートすると「No configuration ・・・」と表示されますが、MPLAB側で「Export file」で出力してやると、問題なくインポートできます。 書き込み、ベリファイチェックともに特にエラーメッセージも出ません。 コンパイラを見てもわかるように、プログラムはC言語で記述しています。 内容はLEDを点灯させる(出力ポート:1)だけの単純なものです。 この1週間格闘しましたが、動作する気配もなくモンモンとする毎日です。 どなたかこの現象について知見のある方、ご教示ください。

  • HTMLからサーブレット処理。

    以前、「HTMLからサーブレットに処理を移す」というサンプルプログラムを書き、動作確認をしました。 そして、今回、そのサンプルプログラムを動かそうとすると、IEのエラーメッセージで、「ページが表示されません。」と出てしまいます。 最初、動作確認を行ってから、 1、既存のwin98にデュアルブートで、win2000Proをインストール。 2、win2000にPostgreSQL-Native版をインストール。 3、win2000、win98にAccess2000をインストール。 です。 webサーバは、ローカルで、JRunを使用しています。 そして、JRunのサンプルプログラムはキチンと動作し、JRunには問題はないとは思うのですが・・・。 その上、サンプルプログラムは、もう一度新規に書き直して、コンパイルして、動作を確認したのですが、やはり動かず、エラーメッセージが出ます。 もちろんHTMLファイルも見直したのですが・・・。 環境は、 Windows 98 Secoud Edition をCドライブに、 Windows 2000 Professnal  をDドライブにと、デュアルブートです。 PostgreSQL-Native-7.2-win32 Access2000    開発ツールとして、 forte for java CE を使っています。 自分の考えでは、デュアルブートで、こういう障害がでるのではないかと、 思っているのですが、対処方等は、全然わかりません。 どなたか、ご助言よろしくお願いします。

    • ベストアンサー
    • Java
  • ネットワークドライブの割り当てをローカルで実現したい!やはり無理ですか?

    ネットワークドライブの割り当てで、ローカルのフォルダにドライブSを割り当てたいのですが、やはり無理でしょうか? もしも良い知恵のある方いらっしゃれば、是非お願い致します。 クライアントのハードはwindows2000とwindows98です。 具体的には、こんな感じで使いたいです。 運用環境では共有フォルダ設定のwindows2000サーバーに対して、クライアントからネットワークドライブSを割り当てて、プログラムの実行を行っています。 プログラムはローカルのパソコン環境で作成しますので、本番移行時にはドライブの変更をその都度しなければなりません。 ローカルでネットワークドライブの割り当てができれば、そのままコピーで良いため、良い方法は無いかと思っている次第です。 現在のパソコンはC・Dがハードディスク、EがCD-ROMと言うごく一般的な構成です。LANボード搭載でTCP/IPにはスタチックにIPアドレスを入れています。 インストールのやり直しと言うのはなしで、簡単に解決できる方法無いでしょうか。ちなみにプログラム資産がたくさんあるためサーバー側の環境は変えたくないです。 良い知恵のある方いらっしゃれば、宜しくお願い致します。

このQ&Aのポイント
  • 最近、outlook2016で送信メールサーバーに接続できないエラーが発生しています。
  • 受信のみが可能で、送信できない状況です。
  • アカウントの再設定を試みましたが、新規アカウントの作成もできずにいます。どうすれば解決できるでしょうか?
回答を見る