• ベストアンサー

VHDLシミュレータについて

fugafugahogehogeの回答

  • ベストアンサー
回答No.1

私もVHDLの勉強を始めたばかりです。無償で使えるシミュレータとしては、ModelSimのザイリンクス版があります(参考URLに書いておきます)。 その他にもいろいろありますので、 http://www.cqpub.co.jp/dwm/editors/sn/freeeda/freeeda.htm で確認してみてください。 QuartusIIはアルテラ製PLDデバイス用ののツールです。 ModelSimでシミュレーションし、(アルテラのPLDを使用するのであれば)QuartusII等で書き込みをするという流れになるかと思います。QuartusIIでも、シミュレーションは出来ますので、まずはQuartusIIでやってみるのもいいと思います。 お互い、頑張りましょう!

参考URL:
http://www.xilinx.co.jp/ise/verification/mxe_details.html#where

関連するQ&A

  • VHDLとVerilogHDL混在シミュレーション

    VHDLとVerilogHDLの異なる言語が混在するデザインをシミュレーションできる無償のシミュレータを探しています。単言語のシミュレータであればFPGAベンダのスタータエディションなどが使用可能ですがマルチリンガルとなると見つけられていません。ご存知の方ご教示お願いします。

  • VHDLでできること

    現在、私はプログラミング言語のVHDLを勉強していますが、この言語でどのようなことができるのかあまりはっきりしません。そこで、VHDLを使用してできることをどんな些細なことでもいいので教えていただけないでしょうか。あとVHDLの将来性のようなこともご存じの方がいらっしゃいましたら教えてください。よろしくお願いします。

  • VHDLとVerilogの違いについて

    Verilogでの回路設計の経験はあるのですが、VHDLでの経験がありません。 今度の仕事はVHDLで回路設計をしなくてはいけなそうなのですが、ちょっと心配です。 Verilogとの違いは何なんでしょうか? またVerilogを知っていればすぐに理解ができるものなのでしょうか?

  • VHDLについて教えてください

    VHDLついてお教えください。 今、VHDLの勉強しているのですがsignal文とvariable文の違いが良く分かりません。 以下の記述で(1)の結果なぜこのようになるのか分かりません。 ご存知の方、ご教授願います。 例(1) process(A, B, C, D) begin D < = A; X < = B + D; D < = C; Y < = B + D; end process; 結果 X < = B + C; Y < = B + C; 例(2) process(A, B, C) variable D : std_logic_vector( 3 downto 0 ); begin D := A; X < = B + D; D := C; Y < = B + D; end process; 結果 X < = B + A; Y < = B + C;

  • VHDLの記述についての質問です

    現在、VHDLの勉強をしていて xilinxのサンプルソースを見たりしているのですが その中にto_unsigned(x,7)という記述がありました。 to_unsigned(x,7)はどういった関数なのでしょうか? ご存じの方がいましたらご教授願います。 また、to_integer()という関数がありますが、 conv_integer()との違いはあるのでしょうか? こちらの方も宜しくお願い致します。

  • シミュレータみたいなのありませんか??

    ciscoのサイトとか見たのですが、 英語でよく分からなかったので質問です。 ルータの勉強(コマンドなど)を実際たたいて勉強を したいのですが、シミュレータみたいなのってどこかに ありませんか??

  • VHDLをVerilog に変換できるツールはありますか

    Verilogの勉強を始めた者ですが、VHDLで記述されたファイルをVDHLに変換するようなもの、誰かご存知ですか?

  • 逓倍回路?

    私は今VHDLの勉強をしておりCycloneで手始めに簡単なカウンターを作っておりますが周波数を数倍にしたい(逓倍回路?)のですが、どうしたらよいのでしょうか。ちなみにアルテラ社のQuartusIIを使用しております。自分は初心者ですが、難しいご説明(自分にしてみればですが)でも、何とかそこから勉強して回路を作っていきたいと思ってます。どんなことでも構いませんのでどうぞよろしくお願いします。

  • HDLのエミュレーターについて

    フリーのシュミュレーターで「Verilog-HDL」や「VHDL」を勉強中なのですが、 「Verilog-HDL」や「VHDL」でパラレルポートなんかを制御させることは可能ですか? 言い換えますと、 PCをパラレルポートなんかを使って 仮想的にFPGAデバイスとして使えるようなソフトはありますか?

  • VHDLのデジタル時計

    VHDLでデジタル時計を作っているのですが、現段階で完成しているのがSW1を押して早送り、SW2を押してさらに早送りができる機能を備えています。 それで自分がこれからしたいことはDIP_SWを1をオンにして、SW1を押すと、時間が逆戻りするプログラムです。下のURLにプログラム全体を載せています。 http://torupa21.gozaru.jp/vhdl.htm 自分で68、162~175行目を追加して、若干変更してみたのですが、SW1を押しても数字が止まってしまうだけでした。 VHDLをあまり理解していない自分にとって、何が駄目なのかも分からない状況です。 宜しかったらご指南お願いします。