hashendのプロフィール

@hashend hashend
ありがとう数16
質問数0
回答数22
ベストアンサー数
12
ベストアンサー率
92%
お礼率
0%

  • 登録日2009/11/12
  • SH7144マイコンにAD変換器AD9244を接続して加速度センサから

    SH7144マイコンにAD変換器AD9244を接続して加速度センサからの値を読み込むことは可能でしょうか?

  • VHDLについてですが何がおかしいのでしょう?

    VHDLについてですが何がおかしいのでしょう? 下記をSynthesize実行すると「 Line 22. rol can not have such operands in this context.」という表示がでます。どういうことなのでしょうか?ソースは本のサンプルどおりのはずなのですが・・。(VHDLとCPLDによるロジック設計入門)という本の126Pのリスト6.12です。 CPLDでザイリンクスWebpackV6.31を使っています。 ===================== library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.ALL; -- Uncomment the following lines to use the declarations that are -- provided for instantiating Xilinx primitive components. --library UNISIM; --use UNISIM.VComponents.all; entity shift2 is port( SW : in unsigned(1 to 4); SW2,SW3 : in std_logic; LED : out unsigned(5 downto 2)); end shift2; architecture RTL of shift2 is signal S : integer; begin S <= CONV_INTEGER( not (SW3 & SW2)); LED <= SW rol S; ------------------------------Line22 end RTL;

  • Nゲージの自作室内灯が異常に発熱します!

    Nゲージの自作室内灯が異常に発熱します! 先日、自作室内灯を作りました。 線路から取った電気をブリッジダイオードで整流し、3.3Vの3端子レギュレータを通してLEDにつないでいます。 とりあえず一車両だけ作り、発熱などの問題がないことを確認して全車両作りました。 しかし、安心して走らせていると、低速だったのにもかかわらず「動力車両の3端子レギュレータだけ」が異常に発熱し、車体が見事に溶けてしまいました(泣 その後何度も試験を試しましたが、他の車両はまったく問題はありません。 動力車両の回路も異常は見られませんでした。 なぜこのような現象が現象が起きてしまったのでしょう? また、これを改善するにはどうすればいいですか? 回答お願いします。

  • CPLDのクロックは共通なのでしょうか?

    CPLDのクロックは共通なのでしょうか? ザイリンクスのCPLDのクロックは1~4ありますけどどこへ入力しても同じなのでしょうか? それともファンクションブロックごとにクロックが別れているとか? 又XC95xxではGCK、XCR30xxではCLK の違いはなんでしょうか?

    • ベストアンサー
    • poyo3
    • 科学
    • 回答数1
  • avrstudioというソフトを使ってAVRの ATtiny2313と

    avrstudioというソフトを使ってAVRの ATtiny2313というマイコンを動かせるようプログラムの勉強をしているのですが・・・最初からつまずいています・・・どなたか教えていただけないでしょうか winavrでC言語を使ってしようと思うのですが avrstudio上で"~A"というように(ここでも→のようになるのでわかりやすいように~としてます・・。googleの検索窓だと半角英数の時にキーボードの”ほ”の横のボタンをシフトと一緒に押すとできるのですが・・)打ちたいのですがキーボードで打つと~Aとなってしまいます・・ それと、ソースがなかなか読めないです・・ tiny2313のPA1とPA0にスイッチがついてます ポートbの0、1,2,3、にはLEDがつながっています while(1){ x=PINA; x=(~A &0b00000011); PORTB = x; } /*xはunsigned charで*/ これはどういう意味なのでしょうか・・while(1)だから無限ループでどうやらボタンを押すと反転??してLEDが永遠につくというものらしいですがボタンの動作をどう読んでいるのかいまいちわかりません>< どなたかお願いします