• ベストアンサー

VHDLとVerilogHDL混在シミュレーション

VHDLとVerilogHDLの異なる言語が混在するデザインをシミュレーションできる無償のシミュレータを探しています。単言語のシミュレータであればFPGAベンダのスタータエディションなどが使用可能ですがマルチリンガルとなると見つけられていません。ご存知の方ご教示お願いします。

質問者が選んだベストアンサー

  • ベストアンサー
  • axel_eye
  • ベストアンサー率64% (145/226)
回答No.1

私も過去に調べてみましたが、無償となると無いのではないでしょうか。 個人利用となると、 iverilogを使って、VHDLをVerilogにコンバートするとか、 もしかすると、 XilinxのWeb Packの過去のモデルには、ModelSimが含まれていました から、ModelSimを使ってVHDLかVerilogをライブラリ化し、 ライブラリとして読み込む形にすれば、もしかするとどちらかの ソースでコンパイルできるかもしれません。 前者の方法も後者の方法も骨の折れる作業である事かと思いますが、 個人利用でソースを流用するとかであると、ソースコードを解析して コンパイルできる形に手動であれ、自動であれ変換する作業は付いてくるのかなと 思います。(大変なんですけどね) 学術機関であれば、有償の物であってももしかすると、 無償ないしは、低価格で使わせてもらえる可能性もあると思います。 教授(先生)や学校を通さないといけないと思うので手間がかかると いえば手間がかかるのですが。 企業で利用する場合は、FPGAベンダーなどの販売代理店に問い合わせると FPGAを購入する代わりに、シミュレーターなどのツールは無償で貸し出してくれる 事もあるかもしれません。ただ、ある程度のFPGAの購入が見込めるお客様で ないと難しいかもしれません。 無償というのを諦めたとして、 XilinxやALTERAなどのFPGAベンダーの販売代理店に問い合わせると、 もしかすると、個人でもシミュレーターなどを販売してくれるかも しれません。10万くらいはしますが、FPGAベンダーではないメーカーの シミュレーターに比べるとかなり安く、個人でもぎりぎり手の届く範囲に あるかと思います。 あとは、やってないのでなんとも言えないのですが、 XilinxのISEをダウンロードしてISimが付属していないかの 確認くらいはできるのではないでしょうか?(もうすでに試されているかもしれませんね) 制約付きかもしれませんが、ISimなら混載ソースのコンパイルが 出来るようなので少しだけ使えるかもしれません。 お役に立てませんが、今、私の知る限りではこのくらいでしょうか。

関連するQ&A

  • HDLの言語の違いについて

    今大学の4年生です。 そこでVerilogHDLを習っています。 来年、他大の院に行きます。 そこではVHDLを習うそうです。 正直、言語が違うのは結構な負担になります。 特にVHDLは記述量が多そうなので結構不安です。 自分の中ではVerilogHDLのほうがC言語らしくてしっくりきます。(CでもFPGAはできるらしい?? VHDLは記述が硬すぎる気がします。 率直に聞きますが、VHDLとVerilogHDLどちらのほうが開発に向いているのでしょうか? また、同じ回路を作るとしても言語でFFやTrの数が違ったりするのでしょうか?

  • FPGA/CPLDについて

    FPGA/CPLDをはじめるにあたってい くつか疑問があるので教えて下さい。 質問1 調べてみるとザイリンクスやアルテラが有名どころで ザイリンクスのほうが入手しやすいとの事ですが メーカーによる大きな性能の違いとかはあるんでしょうか? 質問2 CPLDはゲート数が少なく大規模なものは向かないとの事ですが FPGAやCPLDのことがあまりよくわかっていないので ゲート数が少ないっていうのがどういうところで壁になるのかが イメージできません。 PICマイコンを使っていてI/Oピンが足りず困ったことがありましたが イメージとしてはそんな感じでいいんでしょうか? 可能であれば製作例も含め「こんなときに困った」って言うのを 教えてもらえると助かります。 質問3 使用言語ですがVerilogHDLとVHDLがあるようでいろんなサイトを見ると 趣味であればどっちを使うかは問題ではない的なことが 書かれていますが・・・何を基準に決めればいいでしょうか? 質問4 インターネットで調べるとCPLDに関するライターの作り方や個人の方が 運営しているページが沢山見かけますがFPGAに関するページがあまり みつかりません。 FPGAは個人には規模が大きすぎて需要がないんでしょうか? FPGAのライター作成や入門的な参考ページがあったら 教えていただけると助かります。 ↓のページを最近よく見ています。 はんだごてのけむり http://homepage1.nifty.com/buttercup/ 趣味の電子回路工作 http://hobby_elec.piclist.com/menu.htm

  • FPGAのシミュレーションに関して

    いつもお世話になっています。 以下の開発環境におけるFPGAのロジックのシミュレーションに疑問があるので質問します。 環境 modelsim Altera starter edition 質問概要 10進カウンターを作ってクロックの立ち上がりで動作するように作ったのに立下りで動作するのは何故か。(10進数をカウンター内部変数TQで数え上げqに出力しているがTQはクロックの立ち上がりで動いているが、qは立下りで動いている様に見える) 10進カウンターの内部構造 ソース概要 ・内部変数TQが0から始まり、+1していき10回数えたら0から桁上がりの変数cを1にしてやりなおし。 ・計算中の内部変数TQで7SEG LEDを駆動させるためのアウトプットqがある。 ・リセットは0の時リセットされる。 VHDLのソースを載せます。 library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity COUNT10 is port(RESET,CLK : in std_logic; Q: out std_logic_vector(3 downto 0); C: out std_logic ); end COUNT10; architecture RTL of COUNT10 is signal TQ : std_logic_vector(3 downto 0); begin process (RESET,CLK ) begin if(RESET='0') then TQ <= "0000"; C<='0'; elsif(CLK 'event and CLK ='1') then if(TQ="1001") then TQ <= "0000"; C <='1'; elsif(TQ="0000") then C <='0'; TQ <= TQ + '1'; else TQ <= TQ + '1'; end if; end if; Q<=TQ; end process; end RTL; シミュレーション結果 クロックを数え上げた内部変数TQがqへ値を渡す仕組みになっています。 これがたち下がりの時に行われている様に見える。

  • VHDLの書き方について

    教科書で signal a : std_logic_vector (3 downto 0); a <= (others => '0'); -- A というような書き方がありました。 この Aの意味は何なのでしょうか?

  • VHDLについて教えてください

    VHDLついてお教えください。 今、VHDLの勉強しているのですがsignal文とvariable文の違いが良く分かりません。 以下の記述で(1)の結果なぜこのようになるのか分かりません。 ご存知の方、ご教授願います。 例(1) process(A, B, C, D) begin D < = A; X < = B + D; D < = C; Y < = B + D; end process; 結果 X < = B + C; Y < = B + C; 例(2) process(A, B, C) variable D : std_logic_vector( 3 downto 0 ); begin D := A; X < = B + D; D := C; Y < = B + D; end process; 結果 X < = B + A; Y < = B + C;

  • VHDLについて

     卒業研究としてVHDLを用いて除算器を設計することになったのですが、VHDLについては全くの初心者です。  こんな私にとってVHDLの基礎から除算器を設計するにいたるまで、参考になる本やホームページがあれば教えていただけませんか?

  • VHDLでできること

    現在、私はプログラミング言語のVHDLを勉強していますが、この言語でどのようなことができるのかあまりはっきりしません。そこで、VHDLを使用してできることをどんな些細なことでもいいので教えていただけないでしょうか。あとVHDLの将来性のようなこともご存じの方がいらっしゃいましたら教えてください。よろしくお願いします。

  • VHDL

    VHDL言語を使ったテストベンチの作成で困っています。 テストベンチのサンプルや見本など探しています。 特にチップベンダーに依存せずに編集可能なツール もしくはテストベンチを自動生成してくれるツールが ありましたら教えてください。

  • VHDLに関して

    複数ビットの信号を宣言する際に std_logic_vector を用いますが、その後のdowntoやtoの意味がよく分かりません。 下の画像だとdowntoですが、toでは何故ダメなのでしょうか? また、selはstd_logicでいいと思うのですが何故複数ビットの扱いなのでしょうか? すみませんが、初心者なのでできるだけ分かりやすく説明していただけると幸いです。 宜しくお願いします。

  • VHDLについてですが何がおかしいのでしょう?

    VHDLについてですが何がおかしいのでしょう? 下記をSynthesize実行すると「 Line 22. rol can not have such operands in this context.」という表示がでます。どういうことなのでしょうか?ソースは本のサンプルどおりのはずなのですが・・。(VHDLとCPLDによるロジック設計入門)という本の126Pのリスト6.12です。 CPLDでザイリンクスWebpackV6.31を使っています。 ===================== library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.ALL; -- Uncomment the following lines to use the declarations that are -- provided for instantiating Xilinx primitive components. --library UNISIM; --use UNISIM.VComponents.all; entity shift2 is port( SW : in unsigned(1 to 4); SW2,SW3 : in std_logic; LED : out unsigned(5 downto 2)); end shift2; architecture RTL of shift2 is signal S : integer; begin S <= CONV_INTEGER( not (SW3 & SW2)); LED <= SW rol S; ------------------------------Line22 end RTL;

専門家に質問してみよう