• 締切済み

VHDLでできること

ranxの回答

  • ranx
  • ベストアンサー率24% (357/1463)
回答No.2

HDL=Hardware Description Language であって、ICやLSIの開発に 使われる言語ですから、普通のプログラミング言語(CやJavaのような) を扱うような積りでいると、大きな勘違いをおかすことになります。 将来そうした方面へ進む、あるいは、現在やっているということでしたら 大いに役立つことと思います。

関連するQ&A

  • VHDLとVerilogHDL混在シミュレーション

    VHDLとVerilogHDLの異なる言語が混在するデザインをシミュレーションできる無償のシミュレータを探しています。単言語のシミュレータであればFPGAベンダのスタータエディションなどが使用可能ですがマルチリンガルとなると見つけられていません。ご存知の方ご教示お願いします。

  • VHDLの記述についての質問です

    現在、VHDLの勉強をしていて xilinxのサンプルソースを見たりしているのですが その中にto_unsigned(x,7)という記述がありました。 to_unsigned(x,7)はどういった関数なのでしょうか? ご存じの方がいましたらご教授願います。 また、to_integer()という関数がありますが、 conv_integer()との違いはあるのでしょうか? こちらの方も宜しくお願い致します。

  • VHDLをVerilog に変換できるツールはありますか

    Verilogの勉強を始めた者ですが、VHDLで記述されたファイルをVDHLに変換するようなもの、誰かご存知ですか?

  • VHDLについて教えてください

    VHDLついてお教えください。 今、VHDLの勉強しているのですがsignal文とvariable文の違いが良く分かりません。 以下の記述で(1)の結果なぜこのようになるのか分かりません。 ご存知の方、ご教授願います。 例(1) process(A, B, C, D) begin D < = A; X < = B + D; D < = C; Y < = B + D; end process; 結果 X < = B + C; Y < = B + C; 例(2) process(A, B, C) variable D : std_logic_vector( 3 downto 0 ); begin D := A; X < = B + D; D := C; Y < = B + D; end process; 結果 X < = B + A; Y < = B + C;

  • VHDLのツールの使用方法が載っているサイトや書籍について

    現在、ISEのproject navigatorを使用してVHDLの勉強しています。いろんな書籍やサイトを見ているのですが、ツールの使用方法が載っているものがありません。 もし、ツールの使用方法が載っているサイトや書籍がありましたら教えてください。

  • VHDLまたはverilog

    質問場所、ここなのか分かりませんが よろしくお願いします。 FPGAを最近使おうとしているのですが、 VHDLとverilogどちらから始めるかで 悩んでいます。verilogの方が簡単とは いいますけど。。。 世の中の流れとしてはどのような割合で 普及されているのでしょうか? 使用目的はごく趣味的なもので、研究でも 使えたらいいかなと思っている程度です。 (すなわち業務で設計をする立場では ありません。)最初は例えば、Spartan-3E でも買って始めようと思っています。 よろしくお願いします。

  • テンキー入力をVHDLで記述したい

    4×4のテンキーをVHDLで記述をしたいのですが、どのように記述をしたらよいのか分かりません。 イメージとして、エンコード記述で十分かと思っていたのですが、分かりません。 最終的に、私がやりたいことは、 テンキーでボタンを押したら、押したボタンの値を7セグメントに表示したいのですが、記述の仕方がわかりません。 ご存じの方、教えていただけないでしょうか?

  • おすすめのプログラミング言語(超初心者です)

    プログラミング言語を勉強しようかと考えております。独学で勉強できて、将来のある言語を教えて頂けないでしょうか。私自身は学生時代にFORTRANを使用したことがあるだけで、その他の経験はありません。知人に聞いたところ「PHPがよいのでは」と言ってました。それもわからないくらいのレベルですが、どなたかアドバイス願います。

  • FPGAの言語がVHDLとかVERILOGという…

    FPGAの言語がVHDLとかVERILOGというものなのでしょうか 機械設計を10年やっているものです。シーケンサは実地でやっておおよそ理解していました。マイコン(PICなど)も解説本やネットで調べて理解しました。 あるユーザーの方からFPGAを使って、ある装置の制御をおこないたいのですが、知識のある方を知らないでしょうかと質問されました。そこで、解説本やネットで調べて、ある程度の知識は得たのですが、マイコンのソフトがアセンブラやCなどのように、FPGAのソフトがVHDLやVERILOGだと思えばいいのでしょうか。 また、その装置というのが簡単に説明すると直動ステージ(パルスモーター)4軸でサーマルヘッド(プリンタ用)の位置決めを行い、最終的に印刷してサーマルヘッドの良否を決定する装置です。もともとプロト機(サーマルヘッドの制御のみの)があり、その開発をFPGAでやったので、今回の新装置のステージ等の制御も同じようにやっていただき、サーマルヘッドの制御部を後からユーザーが挿入したいということでした。がこのようなことは可能なのでしょうか。 申し訳ないのですが、ご助言よろしくお願いします。

  • HDLの言語の違いについて

    今大学の4年生です。 そこでVerilogHDLを習っています。 来年、他大の院に行きます。 そこではVHDLを習うそうです。 正直、言語が違うのは結構な負担になります。 特にVHDLは記述量が多そうなので結構不安です。 自分の中ではVerilogHDLのほうがC言語らしくてしっくりきます。(CでもFPGAはできるらしい?? VHDLは記述が硬すぎる気がします。 率直に聞きますが、VHDLとVerilogHDLどちらのほうが開発に向いているのでしょうか? また、同じ回路を作るとしても言語でFFやTrの数が違ったりするのでしょうか?